تبدیل کد گری به باینری

reaz

عضو جدید
سلام دوستان کسی نحوه تبدیل کد گری به باینر و بلعکس رو داره .
 

ehsanft

عضو جدید
سلام.
برای گری به باینری: اول msb رو بنویس بعد از سمت چپ شروع به اکسکلوسیو اور کردن با عدد قبلی کن: گری ( 10110001 ) = (11011110)باینری
برای باینری به گری: اول msb رو بنویس بعد از سم راست شروع به xor کردن کن..... باینری(1010100) = (1111110)گری
 
  • Like
واکنش ها: sh85
بالا