نتایح جستجو

  1. F

    کمک در مورد برنامه نویسی VHDL

    سلام دوستان اگر کسی به زبان VHDL میتونه ضرب بوث رو پیاده سازی کنه ممنون میشم راهنماییم کنه:w10: :wallbash: اگه پیاده سازی تقسیم رو هم کمک بدین خیلی خیلی لطف کردین:w06:
بالا